当前位置:系统粉 >  系统教程 > 电脑软件教程 >  modelsim使用教程

modelsim使用教程

时间:2015-11-19 来源:互联网 浏览量:

    Modelsim仿真工具是Model公司开发的。它支持Verilog、VHDL以及他们的混合仿真,它可以将整个程序分步执行,使设计者直接看到他的程序下一步要执行的语句,而且在程序执行的任何步骤任何时刻都可以查看任意变量的当前值,可以在Dataflow窗口查看某一单元或模块的输入输出的连续变化等,比quartus自带的仿真器功能强大的多,是目前业界最通用的仿真器之一。

具体方法如下:

1

找到Modelsim这个软件,双击打开。

2

单击File,将鼠标移至New,选择Project...,出现如图所示的对话框。上边的红框填写你要建立的工程名称,比如我们要点亮一个led,就建立一个工程名为led的工程。下边的红框是指你要把工程建立在什么位置。其余的选项默认即可。点击ok。

3

接下来,点击Create new File,在弹出的对话框中填写文件名,比如led.v,add file as type,选择verilog,点击ok。因为我们要用Modelsim仿真,一般要有测试文件,再添加一个即可,和添加led.v步骤一样。我起名为tb_led.v。

4

分别双击文件名,进入编辑模式。编写代码。保存后,将鼠标放在其中一个文件,右键,compile->compile all。编译通过后,会提示

# Compile of tb_led.v was successful.

# 2 compiles, 0 failed with no errors. 

就可以进行仿真了。

5

点击Simulate->start Simulation。记得把optimizationqian2的勾选去掉,点击work前的加号,选择测试文件,比如我写的是tb_led.v。ok。

出现wave,现在把波形加进去。在 tb_led文件右键 Add->To wave ->All items in design。开始运行仿真,点击像一本书旁边带双箭头的图标。再点击放大镜可以调节波形的时间轴的坐标。最后的仿真波形如图。希望对你们有帮助。

我要分享:
版权信息

Copyright @ 2011 系统粉 版权声明 最新发布内容 网站导航